在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1743|回复: 12

[求助] dw i2c vip timing check报错

[复制链接]
发表于 2022-5-18 18:44:06 | 显示全部楼层 |阅读模式
100资产
vip的svt_i2c_lib_chk.vih报各种timing check错,什么High/Low clock duratoin, Set up/Hold time violation for DATA等
但是我runtime也没有define  ENABLE_SVT_I2C_RUNTIME_CFG_CHECKS,而且看手册如图,默认是disable check的啊
我检查了环境也没有enable这些
比如说这个High clock duration,log报的是:Expected value=4000, Observed value=28
4000我查了下是vip里一个define文件定义的
vip class里注释说4000单位是ns,但是这个28对应我这边是cycle(我的ic_clk周期是50ns)的,它要求ic_clk周期是1ns?
我自己写了个define文件重新define那些值,编译报了redeclare,使用新的define值(我define的值),但是仿真还是报的一样错,这种方法行不通……
所以想知道能不能修改4000等这些值,因为4000太大了,仿真时间太长了
如果不行的话我只能调整ic_clk周期短点了吗?
求教用过的大佬们,十分感谢!!!
image.png
image.png

 楼主| 发表于 2022-5-19 14:19:04 | 显示全部楼层
自顶
 楼主| 发表于 2022-5-19 17:23:49 | 显示全部楼层
把vip if的ic_clk周期改成1ns,配置改的满足要求了,不会报了,只是觉得时间还是有点长……
 楼主| 发表于 2022-6-6 16:42:13 | 显示全部楼层
本帖最后由 anpengfei 于 2022-6-6 16:47 编辑

解决了,可以使用svt_i2c_user_defines.svi自己定义值,编译指令加上define SVT_I2C_INCLUDE_USER_DEFINES
还可以
image.png

发表于 2023-4-21 18:26:26 来自手机 | 显示全部楼层
可以交流下吗,最近也在用SVT_I2C_VIP,写数据没问题,读数据该怎么操作呢?
发表于 2024-4-28 17:52:01 | 显示全部楼层


anpengfei 发表于 2022-6-6 16:42
解决了,可以使用svt_i2c_user_defines.svi自己定义值,编译指令加上define SVT_I2C_INCLUDE_USER_DEFINES
...


怎么解决的。老哥可以具体点嘛
发表于 2024-4-28 18:29:35 | 显示全部楼层


okoduuu 发表于 2023-4-21 18:26
可以交流下吗,最近也在用SVT_I2C_VIP,写数据没问题,读数据该怎么操作呢? ...


改一下cmd就行
发表于 2024-5-6 14:32:53 | 显示全部楼层


anpengfei 发表于 2022-6-6 16:42
解决了,可以使用svt_i2c_user_defines.svi自己定义值,编译指令加上define SVT_I2C_INCLUDE_USER_DEFINES
...


老哥,这里VIP的这些参数配置具体数值,你是怎么确定的?我现在按照你的,还是会报错。你除了改这些配置,还有其他地方修改吗
发表于 2024-5-6 17:59:23 | 显示全部楼层


anpengfei 发表于 2022-5-19 17:23
把vip if的ic_clk周期改成1ns,配置改的满足要求了,不会报了,只是觉得时间还是有点长…… ...


除了这个周期,你还改了哪些配置呀,老哥求助
 楼主| 发表于 2024-5-7 11:03:13 | 显示全部楼层


leeven 发表于 2024-5-6 17:59
除了这个周期,你还改了哪些配置呀,老哥求助


就按照4楼的那种就可以了,没改别的吧,时间久忘了……

你按照这种还报错,检查检查那个文件编译了吗?define生效了吗?看看波形是不是还是原来的值?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 15:16 , Processed in 0.029453 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表